当前位置: 首页 > news >正文

做网站的图片的内存杭州网站定制

做网站的图片的内存,杭州网站定制,个人网站建设服务,外贸用什么软件目录 1.移位实现LED流水灯 1.1创建工程及源文件代码 1.1.1源代码 1.1.2仿真代码 1.1.3仿真 1.2实验结果 1.2.1总结 2.循环移位实现LED流水灯 3.38译码器实现LED流水灯 3.1原理 3.2源程序 1.移位实现LED流水灯 1.1创建工程及源文件代码 1.1.1源代码 利用计数器计数到…

目录

1.移位实现LED流水灯

1.1创建工程及源文件代码

1.1.1源代码

1.1.2仿真代码

 1.1.3仿真

1.2实验结果

1.2.1总结

2.循环移位实现LED流水灯

3.38译码器实现LED流水灯

3.1原理

3.2源程序


1.移位实现LED流水灯

1.1创建工程及源文件代码

1.1.1源代码

利用计数器计数到500ms,让LED的8位每次左移一位。当复位后/移动至最左边时,让LED灯归位。犯的错误:1.counter<=counter+1'd1,写成了等号,导致仿真波形偶数的led灯无高电平,就是一个瞬间的脉冲,还有其他几处。

module run_led(clk,reset,led);input clk;input reset;output reg [7:0]led;reg [24:0]counter;always@(posedge clk or negedge reset)if(!reset)counter<=0;else if(counter == 25_000_000-1)counter<=0;elsecounter<=counter+1'd1;always@(posedge clk or negedge reset)if(!reset)led<=8'b0000_0001;else if(counter == 25_000_000-1)beginif(led==8'b1000_0000 | led==8'b0000_0000)led<=8'b0000_0001;elseled<=led<<1;endelseled<=led;endmodule

1.1.2仿真代码

时钟也是每10ns翻转一次,复位信号刚开始为低电平,延时201ns后变高,再延时4s,包装8个led灯的翻转。

`timescale 1ns / 1nsmodule run_led_tb();
reg clk;
reg reset;
wire [7:0]led;
run_led run_led(.clk(clk),.reset(reset),.led(led)
);initial clk=0;
always #10 clk=~clk;initial begin
reset=0;
#201;
reset=1;
#2000_000_000;
#2000_000_000;
$stop;
end;endmodule

 1.1.3仿真

与预期一致,然后选择好引脚,烧录程序到开发板。

1.2实验结果

8个LED灯循环闪烁,与仿真波形一致。

1.2.1总结

修改源代码后,保存后还要重新生成bit文件才行,不然烧录的还是之前的程序。

2.循环移位实现LED流水灯

即将LED的前6位与第7位拼接起来,即可每次循环转一次。实验现象与之前一致。

 beginled<={led[6:0],led[7]};end

3.38译码器实现LED流水灯

3.1原理

将之前写的38译码器模块直接调用,使用一个计数器循环计数0~7,将对应的值直接对应到输出。

3.2源程序

添加新的源文件,将1部分的代码复制,调用之前的38模块,添加现有源文件,需要勾选第二个copy框,意思是复制38译码器到这个工程来,否则就是在原来的工程上进行修改。

1.3位的计数器计满后不用管,自动溢出清0。

2.关于LED的驱动问题,led是由下一层38译码器驱动,run_led2作为上层,led只是起到一根导线的作用,从下层穿透到上层,最终作为端口引出。任何一个模块,在被例化的时候,连接到其输出端口的信号,都应该是wire型。

module run_led2(clk,reset,led);input clk;input reset;output wire [7:0]led;reg [24:0]counter;always@(posedge clk or negedge reset)if(!reset)counter<=0;else if(counter == 25_000_000-1)counter<=0;elsecounter<=counter+1'd1;reg [2:0]counter2;always@(posedge clk or negedge reset)if(!reset)counter2<=0;else if(counter == 25_000_000-1)counter2<=counter2+1'd1; decoder_3_8 decoder_3_8(.A2(counter2[2]),.A1(counter2[1]),.A0(counter2[0]),.Y0(led[0]),.Y1(led[1]),.Y2(led[2]),.Y3(led[3]),.Y4(led[4]),.Y5(led[5]),.Y6(led[6]),.Y7(led[7])
);  endmodule

实验现象与之前一样。 

http://www.r43.cn/news/699.html

相关文章:

  • wordpress 不能登录郑州网站seo优化公司
  • wordpress为展示的作品投票六年级上册数学优化设计答案
  • 苏州中设建设集团有限公司网站域名网
  • 香港特别行政区政府旺道seo网站优化大师
  • 佛山网站外包永久免费建站系统
  • 用网站做邮箱吗网络营销推广实战宝典
  • 网站建设咨询服务合同个人能接广告联盟吗
  • 手机网站制作机构郑州专业的网站公司
  • wordpress下载最新版本seo首页关键词优化
  • 看会员视频的网站开发郑州seo外包公司哪家好
  • 湛江网站建设模板定位工厂浏览器大全
  • 在柬埔寨做网站开发推广学院seo教程
  • 建设项目验收在哪个网站公示江苏企业seo推广
  • 网站建设公司自贡舆情分析系统
  • 青岛网站建设方案公司全网营销整合营销
  • 工会教工之家网站建设福州短视频seo公司
  • 做图的模板下载网站网站加速器
  • 优秀的图片设计网站谷歌浏览器2021最新版
  • 网站前期基础建设 怎么写网站推广建设
  • 单页面组合网站品牌广告和效果广告
  • 教学app制作长沙有实力seo优化公司
  • 网站推广被封域名如何做跳转长春seo整站优化
  • 怎么做跳转网站 充值登陆腾讯企点app下载安装
  • 做彩平的网站关键词排名哪里查
  • 深圳购物商城网站建设百度推广多少钱一个月
  • 做网站需要一些什么东西做推广哪个平台效果好
  • 做网站用什么开源seo小白入门
  • 北京做网站的价格网上营销的平台有哪些
  • 网站制作费用网络平台怎么创建需要多少钱
  • vi系统与品牌视觉系统2020做seo还有出路吗